IEEE Solid-States Circuits Magazine - Fall 2020 - 54

The Open Source Revolution
The hardware community is current-
-ly experiencing an open source revo-
lution, from the proliferation of the
RISC-V architecture to the generation
of the open source intellectual prop-
erty (IP) used in the design process,
such as the NVIDIA Deep Learning

C++ Design
C++ Design
Libraries

Architecture (NDVLA), to improve
reusability. Open source HDL code,
including OpenCores [15], has been
around for decades, yet open source
toolchains for chip design have only
recently ga ined popula r it y. The
reason for this is that open source RTL
gets the designer only so far, as the

C++ Simulation

C++
Test Bench

Coverage
Collection
Verified C++
Model

HLS
Constraints

HLS
Compilation
HLS-Generated
RTL

RTL Simulation
Key
Designer Inputs
Intermediate Files
Tools

RTL Coverage
Collection
Verified RTL
Model
Standard ASIC
Back-End Flow

7
6.5
6
5.5
5
4.5
4
3.5
3

12
10
Speedup

Speedup

FIGURE 6: The object-oriented HLS design methodology used for designing the inference
accelerator chip.

ecosystem of modern chip design
tools is vast and often proprietary.
Recent efforts, such as the OpenRoad
Project [16], have tried to address this
problem, enabling engineers to take
their designs all the way to the GDS
using a fully open source toolchain.
In the software community, the
idea behind the open source move-
ment's success is that building
on top of preexisting, preverified
work leads to increased productiv-
ity and quality for all. There have
been open source operating systems
and compilers for decades, but the
hardware community is still catch-
ing up. Our inference accelerator
chip design at NVIDIA involved the
integration of RISC-V IP and library
components from an open source
library for HLS design. In MatchLib,
highly parameterized models were
designed and reused to exploit their
flexibility. Combining these highlevel hardware models in the Match-
Lib library with HLS enabled further
flexibility and design space explo-
ration opportunities, as each piece
of source code was not limited to a
particular microarchitecture or lowlevel implementation.
We are also beginning to see indus-
try support for open source ASIC
design, including the recent announce-
ment by Google and the Free and Open
Source Silicon (FOSSi) Foundation that
they will provide an open source PDK
to produce chips in a 130-nm technol-
ogy node [13]. Within this initiative,

8
6
4

0

500 1,000 1,500 2,000 2,500 3,000 3,500 4,000
Directed Test ID
(a)

2

0

500 1,000 1,500 2,000 2,500 3,000 3,500 4,000
Directed Test ID
(b)

FIGURE 7: The simulation speedup gains from simulating the RTL with a commercial simulator versus a standard C++ toolchain for designs
from the inference accelerator. (a) The C++/RTL speedup for the PE. (b) The C++/RTL speedup for the GB.

54	

FA L L 2 0 2 0	

IEEE SOLID-STATE CIRCUITS MAGAZINE	



IEEE Solid-States Circuits Magazine - Fall 2020

Table of Contents for the Digital Edition of IEEE Solid-States Circuits Magazine - Fall 2020

Contents
IEEE Solid-States Circuits Magazine - Fall 2020 - Cover1
IEEE Solid-States Circuits Magazine - Fall 2020 - Cover2
IEEE Solid-States Circuits Magazine - Fall 2020 - Contents
IEEE Solid-States Circuits Magazine - Fall 2020 - 2
IEEE Solid-States Circuits Magazine - Fall 2020 - 3
IEEE Solid-States Circuits Magazine - Fall 2020 - 4
IEEE Solid-States Circuits Magazine - Fall 2020 - 5
IEEE Solid-States Circuits Magazine - Fall 2020 - 6
IEEE Solid-States Circuits Magazine - Fall 2020 - 7
IEEE Solid-States Circuits Magazine - Fall 2020 - 8
IEEE Solid-States Circuits Magazine - Fall 2020 - 9
IEEE Solid-States Circuits Magazine - Fall 2020 - 10
IEEE Solid-States Circuits Magazine - Fall 2020 - 11
IEEE Solid-States Circuits Magazine - Fall 2020 - 12
IEEE Solid-States Circuits Magazine - Fall 2020 - 13
IEEE Solid-States Circuits Magazine - Fall 2020 - 14
IEEE Solid-States Circuits Magazine - Fall 2020 - 15
IEEE Solid-States Circuits Magazine - Fall 2020 - 16
IEEE Solid-States Circuits Magazine - Fall 2020 - 17
IEEE Solid-States Circuits Magazine - Fall 2020 - 18
IEEE Solid-States Circuits Magazine - Fall 2020 - 19
IEEE Solid-States Circuits Magazine - Fall 2020 - 20
IEEE Solid-States Circuits Magazine - Fall 2020 - 21
IEEE Solid-States Circuits Magazine - Fall 2020 - 22
IEEE Solid-States Circuits Magazine - Fall 2020 - 23
IEEE Solid-States Circuits Magazine - Fall 2020 - 24
IEEE Solid-States Circuits Magazine - Fall 2020 - 25
IEEE Solid-States Circuits Magazine - Fall 2020 - 26
IEEE Solid-States Circuits Magazine - Fall 2020 - 27
IEEE Solid-States Circuits Magazine - Fall 2020 - 28
IEEE Solid-States Circuits Magazine - Fall 2020 - 29
IEEE Solid-States Circuits Magazine - Fall 2020 - 30
IEEE Solid-States Circuits Magazine - Fall 2020 - 31
IEEE Solid-States Circuits Magazine - Fall 2020 - 32
IEEE Solid-States Circuits Magazine - Fall 2020 - 33
IEEE Solid-States Circuits Magazine - Fall 2020 - 34
IEEE Solid-States Circuits Magazine - Fall 2020 - 35
IEEE Solid-States Circuits Magazine - Fall 2020 - 36
IEEE Solid-States Circuits Magazine - Fall 2020 - 37
IEEE Solid-States Circuits Magazine - Fall 2020 - 38
IEEE Solid-States Circuits Magazine - Fall 2020 - 39
IEEE Solid-States Circuits Magazine - Fall 2020 - 40
IEEE Solid-States Circuits Magazine - Fall 2020 - 41
IEEE Solid-States Circuits Magazine - Fall 2020 - 42
IEEE Solid-States Circuits Magazine - Fall 2020 - 43
IEEE Solid-States Circuits Magazine - Fall 2020 - 44
IEEE Solid-States Circuits Magazine - Fall 2020 - 45
IEEE Solid-States Circuits Magazine - Fall 2020 - 46
IEEE Solid-States Circuits Magazine - Fall 2020 - 47
IEEE Solid-States Circuits Magazine - Fall 2020 - 48
IEEE Solid-States Circuits Magazine - Fall 2020 - 49
IEEE Solid-States Circuits Magazine - Fall 2020 - 50
IEEE Solid-States Circuits Magazine - Fall 2020 - 51
IEEE Solid-States Circuits Magazine - Fall 2020 - 52
IEEE Solid-States Circuits Magazine - Fall 2020 - 53
IEEE Solid-States Circuits Magazine - Fall 2020 - 54
IEEE Solid-States Circuits Magazine - Fall 2020 - 55
IEEE Solid-States Circuits Magazine - Fall 2020 - 56
IEEE Solid-States Circuits Magazine - Fall 2020 - 57
IEEE Solid-States Circuits Magazine - Fall 2020 - 58
IEEE Solid-States Circuits Magazine - Fall 2020 - 59
IEEE Solid-States Circuits Magazine - Fall 2020 - 60
IEEE Solid-States Circuits Magazine - Fall 2020 - 61
IEEE Solid-States Circuits Magazine - Fall 2020 - 62
IEEE Solid-States Circuits Magazine - Fall 2020 - 63
IEEE Solid-States Circuits Magazine - Fall 2020 - 64
IEEE Solid-States Circuits Magazine - Fall 2020 - 65
IEEE Solid-States Circuits Magazine - Fall 2020 - 66
IEEE Solid-States Circuits Magazine - Fall 2020 - 67
IEEE Solid-States Circuits Magazine - Fall 2020 - 68
IEEE Solid-States Circuits Magazine - Fall 2020 - 69
IEEE Solid-States Circuits Magazine - Fall 2020 - 70
IEEE Solid-States Circuits Magazine - Fall 2020 - 71
IEEE Solid-States Circuits Magazine - Fall 2020 - 72
IEEE Solid-States Circuits Magazine - Fall 2020 - 73
IEEE Solid-States Circuits Magazine - Fall 2020 - 74
IEEE Solid-States Circuits Magazine - Fall 2020 - 75
IEEE Solid-States Circuits Magazine - Fall 2020 - 76
IEEE Solid-States Circuits Magazine - Fall 2020 - 77
IEEE Solid-States Circuits Magazine - Fall 2020 - 78
IEEE Solid-States Circuits Magazine - Fall 2020 - 79
IEEE Solid-States Circuits Magazine - Fall 2020 - 80
IEEE Solid-States Circuits Magazine - Fall 2020 - 81
IEEE Solid-States Circuits Magazine - Fall 2020 - 82
IEEE Solid-States Circuits Magazine - Fall 2020 - 83
IEEE Solid-States Circuits Magazine - Fall 2020 - 84
IEEE Solid-States Circuits Magazine - Fall 2020 - 85
IEEE Solid-States Circuits Magazine - Fall 2020 - 86
IEEE Solid-States Circuits Magazine - Fall 2020 - 87
IEEE Solid-States Circuits Magazine - Fall 2020 - 88
IEEE Solid-States Circuits Magazine - Fall 2020 - 89
IEEE Solid-States Circuits Magazine - Fall 2020 - 90
IEEE Solid-States Circuits Magazine - Fall 2020 - 91
IEEE Solid-States Circuits Magazine - Fall 2020 - 92
IEEE Solid-States Circuits Magazine - Fall 2020 - 93
IEEE Solid-States Circuits Magazine - Fall 2020 - 94
IEEE Solid-States Circuits Magazine - Fall 2020 - 95
IEEE Solid-States Circuits Magazine - Fall 2020 - 96
IEEE Solid-States Circuits Magazine - Fall 2020 - 97
IEEE Solid-States Circuits Magazine - Fall 2020 - 98
IEEE Solid-States Circuits Magazine - Fall 2020 - 99
IEEE Solid-States Circuits Magazine - Fall 2020 - 100
IEEE Solid-States Circuits Magazine - Fall 2020 - 101
IEEE Solid-States Circuits Magazine - Fall 2020 - 102
IEEE Solid-States Circuits Magazine - Fall 2020 - 103
IEEE Solid-States Circuits Magazine - Fall 2020 - 104
IEEE Solid-States Circuits Magazine - Fall 2020 - 105
IEEE Solid-States Circuits Magazine - Fall 2020 - 106
IEEE Solid-States Circuits Magazine - Fall 2020 - 107
IEEE Solid-States Circuits Magazine - Fall 2020 - 108
IEEE Solid-States Circuits Magazine - Fall 2020 - 109
IEEE Solid-States Circuits Magazine - Fall 2020 - 110
IEEE Solid-States Circuits Magazine - Fall 2020 - 111
IEEE Solid-States Circuits Magazine - Fall 2020 - 112
IEEE Solid-States Circuits Magazine - Fall 2020 - 113
IEEE Solid-States Circuits Magazine - Fall 2020 - 114
IEEE Solid-States Circuits Magazine - Fall 2020 - 115
IEEE Solid-States Circuits Magazine - Fall 2020 - 116
IEEE Solid-States Circuits Magazine - Fall 2020 - 117
IEEE Solid-States Circuits Magazine - Fall 2020 - 118
IEEE Solid-States Circuits Magazine - Fall 2020 - 119
IEEE Solid-States Circuits Magazine - Fall 2020 - 120
IEEE Solid-States Circuits Magazine - Fall 2020 - 121
IEEE Solid-States Circuits Magazine - Fall 2020 - 122
IEEE Solid-States Circuits Magazine - Fall 2020 - 123
IEEE Solid-States Circuits Magazine - Fall 2020 - 124
IEEE Solid-States Circuits Magazine - Fall 2020 - 125
IEEE Solid-States Circuits Magazine - Fall 2020 - 126
IEEE Solid-States Circuits Magazine - Fall 2020 - 127
IEEE Solid-States Circuits Magazine - Fall 2020 - 128
IEEE Solid-States Circuits Magazine - Fall 2020 - Cover3
IEEE Solid-States Circuits Magazine - Fall 2020 - Cover4
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2019
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2019
https://www.nxtbook.com/nxtbooks/ieee/mssc_2019summer
https://www.nxtbook.com/nxtbooks/ieee/mssc_2019winter
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018fall
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018summer
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018spring
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018winter
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2014
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2014
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2014
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2014
https://www.nxtbookmedia.com