IEEE Solid-States Circuits Magazine - Fall 2021 - 85

Future Innovations and Summary
The previous sections have highlighted
innovations in 2.5D and 3D
heterogeneous integration and new
products and technologies that are
available to designers to push the
limits of design in the future. The
new 2.5D/3D design tool kit can be
leveraged to create new computecommunicate
platforms for the future
that incorporate intelligence.
We are already seeing recent examples
of exciting research coming
out of industry, academia, and government
research programs. For example,
in a recent IEEE Micro article
[38], Ayar Labs and Intel presented
high-throughput terabits/s optical
transceiver chiplet integrated
on-package with an FPGA, enabling
new compute-communicate architectures
that require very highthroughput
I/O for intelligence at
the edge applications. The optical
I/O chiplet is fabricated in a specialized
Global Foundries 45-nm process
node for optical ICs.
As we approach wireless systems
beyond 5G and look to 6G operating
at frequencies above 100 GHz, a
platform that supports high-energy
efficiency, wide range, and can support
multiple users simultaneously
providing terabits per second bandwidths
is impossible without the use
of heterogeneous integration technologies.
For example, if we want to
operate at 140 GHz, using a 16 QAM,
with required 8-Gbps throughput, the
chip-to-chip I/O will exceed 10's of
GHz, and will be exasperated at the
interface between the digital and RF,
where for each 2-GHz I/Q channel,
there will be on the order of 6-10 bits
being transferred at 2 GS/s, resulting
in up to 20 Gbps per I/Q channel. As
we increase frequency, bandwidth,
and modulation, the I/O and power
problem is exacerbated. In terms of
power, Intel's recent VTC 2019 paper
[39] conducted a detailed study of
the extent of the problem of the I/O
between the digital and RF at E-band
(60 to 90 GHz). Using their estimated
figure of merit for the best possible
chip-to-chip I/O interface (~2 pJ/bit)
The Stratix 10 FPGA was the first product to
utilize Intel's EMIB technology, which is used as
a passive die-to-die interconnect, similar to a
passive silicon interposer.
as a starting point, we can estimate
that for the E-band system, the power
attributed just to the I/O between
the digital and RF, will be 3 W for a
16-element, 16-user multiple-input,
multiple-output array. As we increase
the number of elements to 128, the
expected interface power jumps to
>20 W. As one can see, the I/O power becomes
the major bottleneck in terms
of power as the array size increases
and is further exacerbated at subTHz
(140 GHz) with over 1000 array
elements. Using EMIB integration, the
I/O power between the RF and digital
can be potentially reduced by 6# and
using Foveros, it can be potentially
reduced by 13#. Figure 8 shows such
a system concept leveraging both
EMIB and Foveros. There are multiple
challenges and potential advantages
to creating a system. One is antenna
FIGURE 7: Intel's Ponte Vecchio high-performance GPU for high-performance computing applications
utilizes both EMIB 2.5D interconnect and Foveros 3D interconnect
DSP/ML
Micro-3D
Nano-3D
Macro-3D
Micro-3D
Nano-3D
Package Substrate
Macro-3D
Memory
ADC/DAC
RFIC
Antennas
FIGURE 8: A conceptual drawing of 3D integrated RF, analog, mixed-signal, and digital
circuits for sub-THz 6G platforms.
IEEE SOLID-STATE CIRCUITS MAGAZINE
FALL 2021
85

IEEE Solid-States Circuits Magazine - Fall 2021

Table of Contents for the Digital Edition of IEEE Solid-States Circuits Magazine - Fall 2021

Contents
IEEE Solid-States Circuits Magazine - Fall 2021 - Cover1
IEEE Solid-States Circuits Magazine - Fall 2021 - Cover2
IEEE Solid-States Circuits Magazine - Fall 2021 - Contents
IEEE Solid-States Circuits Magazine - Fall 2021 - 2
IEEE Solid-States Circuits Magazine - Fall 2021 - 3
IEEE Solid-States Circuits Magazine - Fall 2021 - 4
IEEE Solid-States Circuits Magazine - Fall 2021 - 5
IEEE Solid-States Circuits Magazine - Fall 2021 - 6
IEEE Solid-States Circuits Magazine - Fall 2021 - 7
IEEE Solid-States Circuits Magazine - Fall 2021 - 8
IEEE Solid-States Circuits Magazine - Fall 2021 - 9
IEEE Solid-States Circuits Magazine - Fall 2021 - 10
IEEE Solid-States Circuits Magazine - Fall 2021 - 11
IEEE Solid-States Circuits Magazine - Fall 2021 - 12
IEEE Solid-States Circuits Magazine - Fall 2021 - 13
IEEE Solid-States Circuits Magazine - Fall 2021 - 14
IEEE Solid-States Circuits Magazine - Fall 2021 - 15
IEEE Solid-States Circuits Magazine - Fall 2021 - 16
IEEE Solid-States Circuits Magazine - Fall 2021 - 17
IEEE Solid-States Circuits Magazine - Fall 2021 - 18
IEEE Solid-States Circuits Magazine - Fall 2021 - 19
IEEE Solid-States Circuits Magazine - Fall 2021 - 20
IEEE Solid-States Circuits Magazine - Fall 2021 - 21
IEEE Solid-States Circuits Magazine - Fall 2021 - 22
IEEE Solid-States Circuits Magazine - Fall 2021 - 23
IEEE Solid-States Circuits Magazine - Fall 2021 - 24
IEEE Solid-States Circuits Magazine - Fall 2021 - 25
IEEE Solid-States Circuits Magazine - Fall 2021 - 26
IEEE Solid-States Circuits Magazine - Fall 2021 - 27
IEEE Solid-States Circuits Magazine - Fall 2021 - 28
IEEE Solid-States Circuits Magazine - Fall 2021 - 29
IEEE Solid-States Circuits Magazine - Fall 2021 - 30
IEEE Solid-States Circuits Magazine - Fall 2021 - 31
IEEE Solid-States Circuits Magazine - Fall 2021 - 32
IEEE Solid-States Circuits Magazine - Fall 2021 - 33
IEEE Solid-States Circuits Magazine - Fall 2021 - 34
IEEE Solid-States Circuits Magazine - Fall 2021 - 35
IEEE Solid-States Circuits Magazine - Fall 2021 - 36
IEEE Solid-States Circuits Magazine - Fall 2021 - 37
IEEE Solid-States Circuits Magazine - Fall 2021 - 38
IEEE Solid-States Circuits Magazine - Fall 2021 - 39
IEEE Solid-States Circuits Magazine - Fall 2021 - 40
IEEE Solid-States Circuits Magazine - Fall 2021 - 41
IEEE Solid-States Circuits Magazine - Fall 2021 - 42
IEEE Solid-States Circuits Magazine - Fall 2021 - 43
IEEE Solid-States Circuits Magazine - Fall 2021 - 44
IEEE Solid-States Circuits Magazine - Fall 2021 - 45
IEEE Solid-States Circuits Magazine - Fall 2021 - 46
IEEE Solid-States Circuits Magazine - Fall 2021 - 47
IEEE Solid-States Circuits Magazine - Fall 2021 - 48
IEEE Solid-States Circuits Magazine - Fall 2021 - 49
IEEE Solid-States Circuits Magazine - Fall 2021 - 50
IEEE Solid-States Circuits Magazine - Fall 2021 - 51
IEEE Solid-States Circuits Magazine - Fall 2021 - 52
IEEE Solid-States Circuits Magazine - Fall 2021 - 53
IEEE Solid-States Circuits Magazine - Fall 2021 - 54
IEEE Solid-States Circuits Magazine - Fall 2021 - 55
IEEE Solid-States Circuits Magazine - Fall 2021 - 56
IEEE Solid-States Circuits Magazine - Fall 2021 - 57
IEEE Solid-States Circuits Magazine - Fall 2021 - 58
IEEE Solid-States Circuits Magazine - Fall 2021 - 59
IEEE Solid-States Circuits Magazine - Fall 2021 - 60
IEEE Solid-States Circuits Magazine - Fall 2021 - 61
IEEE Solid-States Circuits Magazine - Fall 2021 - 62
IEEE Solid-States Circuits Magazine - Fall 2021 - 63
IEEE Solid-States Circuits Magazine - Fall 2021 - 64
IEEE Solid-States Circuits Magazine - Fall 2021 - 65
IEEE Solid-States Circuits Magazine - Fall 2021 - 66
IEEE Solid-States Circuits Magazine - Fall 2021 - 67
IEEE Solid-States Circuits Magazine - Fall 2021 - 68
IEEE Solid-States Circuits Magazine - Fall 2021 - 69
IEEE Solid-States Circuits Magazine - Fall 2021 - 70
IEEE Solid-States Circuits Magazine - Fall 2021 - 71
IEEE Solid-States Circuits Magazine - Fall 2021 - 72
IEEE Solid-States Circuits Magazine - Fall 2021 - 73
IEEE Solid-States Circuits Magazine - Fall 2021 - 74
IEEE Solid-States Circuits Magazine - Fall 2021 - 75
IEEE Solid-States Circuits Magazine - Fall 2021 - 76
IEEE Solid-States Circuits Magazine - Fall 2021 - 77
IEEE Solid-States Circuits Magazine - Fall 2021 - 78
IEEE Solid-States Circuits Magazine - Fall 2021 - 79
IEEE Solid-States Circuits Magazine - Fall 2021 - 80
IEEE Solid-States Circuits Magazine - Fall 2021 - 81
IEEE Solid-States Circuits Magazine - Fall 2021 - 82
IEEE Solid-States Circuits Magazine - Fall 2021 - 83
IEEE Solid-States Circuits Magazine - Fall 2021 - 84
IEEE Solid-States Circuits Magazine - Fall 2021 - 85
IEEE Solid-States Circuits Magazine - Fall 2021 - 86
IEEE Solid-States Circuits Magazine - Fall 2021 - 87
IEEE Solid-States Circuits Magazine - Fall 2021 - 88
IEEE Solid-States Circuits Magazine - Fall 2021 - 89
IEEE Solid-States Circuits Magazine - Fall 2021 - 90
IEEE Solid-States Circuits Magazine - Fall 2021 - 91
IEEE Solid-States Circuits Magazine - Fall 2021 - 92
IEEE Solid-States Circuits Magazine - Fall 2021 - 93
IEEE Solid-States Circuits Magazine - Fall 2021 - 94
IEEE Solid-States Circuits Magazine - Fall 2021 - 95
IEEE Solid-States Circuits Magazine - Fall 2021 - 96
IEEE Solid-States Circuits Magazine - Fall 2021 - 97
IEEE Solid-States Circuits Magazine - Fall 2021 - 98
IEEE Solid-States Circuits Magazine - Fall 2021 - 99
IEEE Solid-States Circuits Magazine - Fall 2021 - 100
IEEE Solid-States Circuits Magazine - Fall 2021 - 101
IEEE Solid-States Circuits Magazine - Fall 2021 - 102
IEEE Solid-States Circuits Magazine - Fall 2021 - 103
IEEE Solid-States Circuits Magazine - Fall 2021 - 104
IEEE Solid-States Circuits Magazine - Fall 2021 - 105
IEEE Solid-States Circuits Magazine - Fall 2021 - 106
IEEE Solid-States Circuits Magazine - Fall 2021 - 107
IEEE Solid-States Circuits Magazine - Fall 2021 - 108
IEEE Solid-States Circuits Magazine - Fall 2021 - 109
IEEE Solid-States Circuits Magazine - Fall 2021 - 110
IEEE Solid-States Circuits Magazine - Fall 2021 - 111
IEEE Solid-States Circuits Magazine - Fall 2021 - 112
IEEE Solid-States Circuits Magazine - Fall 2021 - 113
IEEE Solid-States Circuits Magazine - Fall 2021 - 114
IEEE Solid-States Circuits Magazine - Fall 2021 - 115
IEEE Solid-States Circuits Magazine - Fall 2021 - 116
IEEE Solid-States Circuits Magazine - Fall 2021 - 117
IEEE Solid-States Circuits Magazine - Fall 2021 - 118
IEEE Solid-States Circuits Magazine - Fall 2021 - 119
IEEE Solid-States Circuits Magazine - Fall 2021 - 120
IEEE Solid-States Circuits Magazine - Fall 2021 - 121
IEEE Solid-States Circuits Magazine - Fall 2021 - 122
IEEE Solid-States Circuits Magazine - Fall 2021 - 123
IEEE Solid-States Circuits Magazine - Fall 2021 - 124
IEEE Solid-States Circuits Magazine - Fall 2021 - 125
IEEE Solid-States Circuits Magazine - Fall 2021 - 126
IEEE Solid-States Circuits Magazine - Fall 2021 - 127
IEEE Solid-States Circuits Magazine - Fall 2021 - 128
IEEE Solid-States Circuits Magazine - Fall 2021 - 129
IEEE Solid-States Circuits Magazine - Fall 2021 - 130
IEEE Solid-States Circuits Magazine - Fall 2021 - 131
IEEE Solid-States Circuits Magazine - Fall 2021 - 132
IEEE Solid-States Circuits Magazine - Fall 2021 - 133
IEEE Solid-States Circuits Magazine - Fall 2021 - 134
IEEE Solid-States Circuits Magazine - Fall 2021 - 135
IEEE Solid-States Circuits Magazine - Fall 2021 - 136
IEEE Solid-States Circuits Magazine - Fall 2021 - 137
IEEE Solid-States Circuits Magazine - Fall 2021 - 138
IEEE Solid-States Circuits Magazine - Fall 2021 - 139
IEEE Solid-States Circuits Magazine - Fall 2021 - 140
IEEE Solid-States Circuits Magazine - Fall 2021 - 141
IEEE Solid-States Circuits Magazine - Fall 2021 - 142
IEEE Solid-States Circuits Magazine - Fall 2021 - 143
IEEE Solid-States Circuits Magazine - Fall 2021 - 144
IEEE Solid-States Circuits Magazine - Fall 2021 - 145
IEEE Solid-States Circuits Magazine - Fall 2021 - 146
IEEE Solid-States Circuits Magazine - Fall 2021 - 147
IEEE Solid-States Circuits Magazine - Fall 2021 - 148
IEEE Solid-States Circuits Magazine - Fall 2021 - 149
IEEE Solid-States Circuits Magazine - Fall 2021 - 150
IEEE Solid-States Circuits Magazine - Fall 2021 - 151
IEEE Solid-States Circuits Magazine - Fall 2021 - 152
IEEE Solid-States Circuits Magazine - Fall 2021 - 153
IEEE Solid-States Circuits Magazine - Fall 2021 - 154
IEEE Solid-States Circuits Magazine - Fall 2021 - 155
IEEE Solid-States Circuits Magazine - Fall 2021 - 156
IEEE Solid-States Circuits Magazine - Fall 2021 - 157
IEEE Solid-States Circuits Magazine - Fall 2021 - 158
IEEE Solid-States Circuits Magazine - Fall 2021 - 159
IEEE Solid-States Circuits Magazine - Fall 2021 - 160
IEEE Solid-States Circuits Magazine - Fall 2021 - 161
IEEE Solid-States Circuits Magazine - Fall 2021 - 162
IEEE Solid-States Circuits Magazine - Fall 2021 - 163
IEEE Solid-States Circuits Magazine - Fall 2021 - 164
IEEE Solid-States Circuits Magazine - Fall 2021 - Cover3
IEEE Solid-States Circuits Magazine - Fall 2021 - Cover4
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2023
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2022
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2021
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_spring2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_winter2020
https://www.nxtbook.com/nxtbooks/ieee/mssc_fall2019
https://www.nxtbook.com/nxtbooks/ieee/mssc_summer2019
https://www.nxtbook.com/nxtbooks/ieee/mssc_2019summer
https://www.nxtbook.com/nxtbooks/ieee/mssc_2019winter
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018fall
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018summer
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018spring
https://www.nxtbook.com/nxtbooks/ieee/mssc_2018winter
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2017
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2016
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2015
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_winter2014
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_fall2014
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_summer2014
https://www.nxtbook.com/nxtbooks/ieee/solidstatecircuits_spring2014
https://www.nxtbookmedia.com